当前位置:百科知识 > 电子信息工程

问题描述:

[单选] 在VHDL中,条件信号赋值语句WHEN_ELSE属于()语句。
A.并行和顺序 B.顺序 C.并行 D.任意
参考答案:查看
答案解析:
☆收藏

随机题目