当前位置:百科知识 > 电子信息工程

问题描述:

[单选] 在VHDL语言中,下列对时钟边沿检测描述中,错误的是()。
A.if clk’event and clk=‘1’ then B.if falling_edge(clk) then C.if clk’event and clk=‘0’ then D.if clk’stable and not clk=‘1’ then
参考答案:查看
答案解析:
☆收藏

随机题目